Accueil : Extensions de fichiers : Fichier sv

Extension de fichier .sv

Type de fichier SystemVerilog Source Code File

Développeur IEEE
Catégorie Fichiers de développeur
Le format Text

Qu’est-ce qu’un fichier SV?

Un fichier SV est un fichier de code source écrit dans le langage SystemVerilog, qui est un sur-ensemble du langage Verilog utilisé pour spécifier des modèles de systèmes électroniques. Il contient le code source SystemVerilog.

Plus d'informations

Fichier SV ouvert dans Sigasi Studio 3.8

Vous pouvez ouvrir des fichiers SV dans n'importe quel éditeur de texte. Toutefois, vous souhaiterez peut-être utiliser un éditeur spécialement conçu pour gérer le code source SystemVerilog, tel que Sigasi Studio ou ModelSim.

SystemVerilog est utilisé dans le secteur de la conception électronique et des semi-conducteurs. Il s'agit d'une combinaison de fonctionnalités HDL (langage de description de matériel) et de langage de vérification de matériel (HVL) avec fonctions C et C ++. Il a été adopté en tant que norme IEEE 1800-2005 en 2005, norme IEEE 1800-2009 en 2009 et IEEE 1800-2017 en 2017.

REMARQUE: IEEE signifie Institut des ingénieurs électriciens et électroniciens.

Programmes qui ouvrent des fichiers SV

À propos des fichiers SV

Notre objectif est de vous aider à comprendre ce qu’est un fichier portant l’extension *.sv et comment l'ouvrir.

Le type de fichier SystemVerilog Source Code File, la description du format et les programmes Mac, Windows, Linux, Android et iOS listés sur cette page ont été recherchés et vérifiés par l’équipe CommentOuvrir. Nous visons une précision de 100% et ne publions que des informations sur les formats de fichiers que nous avons testés et validés.